tas-net-2の日記

自動車整備、修理対応

Scilab/Scicos.:Communication systems

Scilab/Scicos.:Communication systems - 車QF - Yahoo!ブログ

Participant : A. Layec.

The preparation of the final draft of the A. Layec [66] has been carried out. 
Two publications based on this work has been presented [39], [40]. 
Currently work is under way to implement some results as a new Scicos Toolbox (an extension of MODNUM) using the new features which will be available in the future version of Scilab/Scicos.

http://ralyx.inrialpes.fr/2006/Raweb/metalau/uid60.html


http://ralyx.inrialpes.fr/2006/Raweb/metalau/biblio-consolidee.html



Controlling an Inverted Pendulum using FLEX with Scilab/Scicos 


At SUPSI (Scuola Universitaria Professionale della Svizzera Italiana), Lugano, Switzerland, an Inverted Pendulum was controlled using FLEX board with Scilab/Scicos.
http://erika.tuxfamily.org/applicationnotes/34-flex-and-dspic.html?start=10



http://www.hediscworkshop.ietec.org/invitedspeakers.html

ScicosLab : A free scientific software package

Temperature Control Platform Based on Scilab/Scicos, Comedi and Rtai-Lab

Jes??s Andr??s Jara and William Ipanaqu??

Universidad de Piura
Piura, Per??



This article describes the design and implementation of a real-time temperature controller based on a free open source software (FOSS) tool-chain. This tool-chain comprises LINUX+RTAI Scilab/Scicos and additional C/C++ libraries added to enhance the man machine interface. The hardware components of the controller consist on an USB I/O interface card, a temperature sensor an a fan cooler that acts as the actuating signal . The heating source is implemented using a light bulb. Several typical PID controllers have been designed based on a model of the system obtained by means of classical identification techniques.


Download Presentation
http://www.hediscworkshop.ietec.org/B_07_Jara.pdf


http://www.rcgroups.com/forums/showthread.php?t=899333



http://d.hatena.ne.jp/ippei-r/20100109/1263013846
DCmotorモデル作成

sim, モータ

多少需要があるらしいのでまとめます。
scilab5.2をインストールしてください。

 

 

 コメント(34)

  

顔アイコン

Sample m file for Scilab ( for Download )

・常螺旋のグラフ(XYZ座標も追記) 
・円錐螺旋のグラフ(XYZ座標も追記) 
・最適制御の状態FBゲインを求める. 
・Hinf制御の状態FBゲインを求める. 
・最適オブザーバゲインを求める. 
・カルマンフィルタゲインを求める. 
・1次のLPFのBode線図の記述例. 
・2次の標準形のBode線図の記述例. 
・3次の標準形のBode線図の記述例. 
・データから回帰直線を求める. 
・2種のデータから回帰直線を求め,回帰直線の交点を求める. 
・平面曲線の曲率を求めグラフ表示.

http://www007.upp.so-net.ne.jp/tmh_ogaw/soft/soft_scilab.html

2012/12/27(木) 午後 9:17ogw*og*2返信する

  

顔アイコン

// 2次系 (ωn^2)/(s^2+2ζωns+ωn^2) のボード線図

s=poly(0,'s');

zeta = 2^(-0.5);

fn = 1; // [Hz]
wn = 2*%pi*fn; // [rad/sec]

h=syslin('c',wn^2/(s^2+2*zeta*wn*s+wn^2));

bode(h);

http://www007.upp.so-net.ne.jp/tmh_ogaw/soft/scilab_sample/2Order_Bode060729.sce

2012/12/27(木) 午後 9:23ogw*og*2返信する

  

顔アイコン

scilabcsvファイルを出力したくて

http://sukidesurobocon.blog.shinobi.jp/Entry/291/

2012/12/28(金) 午前 0:05ogw*og*2返信する

  

顔アイコン

Windows8(64bit)とUSB-シリアル変換ケーブル(その2)
http://digitalcreation-s.blogspot.jp/2012/11/windows864bitusb-2.html

2012/12/28(金) 午前 0:28ogw*og*2返信する

  

顔アイコン

h=openserial(1,"9600,n,8,1")
writeserial(h,"#02"+ascii(13))

xpause(200000)
readserial(h)
closeserial(h)

2013/6/14(金) 午後 3:47ogw*og*2返信する

  

顔アイコン

Serial Communication Toolboxで用意されているコマンドは以下の5つです。

openserial — シリアル・ポートを開く
closeserial — シリアル・ポートを閉じる
readserial — シリアル・ポートから文字を受信する
serialstatus — シリアル・ポートの状態を表示する
writeserial — シリアル・ポートに送信する

http://digitalcreation-s.blogspot.jp/2011/06/scilab-serial-communication-toolbox.html

2013/6/14(金) 午後 3:50ogw*og*2返信する

  

顔アイコン

p = 4;//COM port name
smode = '19200,n,8,1';
h = openserial(p,smode,blocking=%t,timeout="5000");

2013/6/14(金) 午後 4:04ogw*og*2返信する

  

顔アイコン

printf('Waiting for a input.');//受信待ちしていることを表示
buf = readserial(h,6000); //最大6000文字まで受信
printf('読み込み完了'); //受信完了

v = strsplit(buf,","); //カンマで文字列を分割

for i=1:100
X(i) = msscanf(v(i),"%d");//文字列を数値配列に変換
end

Length = size(X); //配列の長さを計算

t=1:Length(1); //横軸の値を準備

scf(); //新しいグラフウィンドウを表示
plot(t,X) //グラフをプロットする

2013/6/14(金) 午後 4:06ogw*og*2返信する

  

顔アイコン

スタートアップを実行中:
初期環境をロードしています

Starting the Serial Toolbox
Load macros
Load help

SIVP - Scilab Image and Video Processing Toolbox
Load macros
Load gateways
Load help
Load demos


http://stochastics.hatenablog.com/entry/2012/05/23/155750

2013/6/14(金) 午後 4:58ogw*og*2返信する

  

顔アイコン

Scilab5.3.3を立ち上げ,メニューバーのアプリケーションからアプリケーション管理(o) - ATOMSを選択.

Mainカテゴリ - ATOMSというウインドが開くので,ウインド左のImage Processingフォルダから,Scilab Image and Video Processing toolbox を選択.

右画面になにやらゴニョゴニョ説明が出てくるがその下にボタンが3つ出てくるので,インストールをクリック.Scilabがメイクしてインストールしてくれてる(らしい)

その後,Scilabを再起動してねと言われるので再起動.



インストールできたか,動作の確認.

現在の作業ディレクトリに適当な画像(例:lenna.jp)を入れる

Scilabのコンソールで次のコードを実行.



im = imread('lenna.jpg');

imshow();

2013/6/14(金) 午後 5:00ogw*og*2返信する

  

顔アイコン

Digital Signal Processing | 基本的な信号処理関数
vision.kuee.kyoto-u.ac.jp/lecture/dsp/?menu=mat_dsp

2次元画像に対するFFTはfft2コマンドによって行うことができます.以下は,画像に対して2次元FFTを行い,そのパワースペクトルを画像化し表示・保存するスクリプトです. Scilabで行う場合には,SIVPの読み込みが必要となりますので注意してください.

2013/6/14(金) 午後 7:03ogw*og*2返信する

  

顔アイコン

Dewar上の磁場分布
http://meg.aalip.jp/scilab/dewar.html

2013/7/19(金) 午前 6:50ogw*og*2返信する

  

顔アイコン

4. Plot3dで多角形面を作成
http://www.sky-engin.jp/ScilabAnimation/chap04/chap04.html

2013/7/19(金) 午前 6:52ogw*og*2返信する

  

顔アイコン

function y=f(x);
y= sin(x^2);
endfunction
clf;plot(-%pi:0.01:%pi,f)


http://math.hawaii.edu/~dale/190/03l.pdf

2013/7/19(金) 午前 10:40ogw*og*2返信する

  

顔アイコン

for i=1:5
printf(' 1/%i = %f \n',i,1/i)
end

EXAMPLES
e3.1 Format the decimal to two decimal places.
http://math.hawaii.edu/~dale/190/03l.pdf

2013/7/19(金) 午前 10:47ogw*og*2返信する

  

顔アイコン

-->// These are simple examples

a = 3; b = 7.2;

c = a + b^2 - sin(3.1415926/2)

-->c = a + bb2 - sin(3.1415926/2)
!--error 4 
変数は定義されていません: bb2


-->c = a + b^2 - sin(3.1415926/2)
c =

53.84

2013/7/19(金) 午前 11:12ogw*og*2返信する

  

顔アイコン

-->c = a + b^2 - sin(%pi/2)
c =

53.84 


http://ensino.univates.br/~chaet/Materiais/DeScilabaMatlab.pdf

2013/7/19(金) 午前 11:20ogw*og*2返信する

  

顔アイコン

-->x = 1/[1 2 3] 1a
x =
! .0714286 !
! .1428571 !
! .2142857 !
-->x = 1./[1 2 3] 1b
x =
! .0714286 !
! .1428571 !

! .2142857 !
-->x = 1 ./[1 2 3] 1c
x =
! 1. .5 .3333333 !

2013/7/19(金) 午前 11:23ogw*og*2返信する

  

顔アイコン

-->x = 1./[1 2 3]
警告: "1./ ..." は "1.0/ ..." と解釈されます. 要素毎に処理を行う際には "1 ./ ..." を使用してください 
x =

0.0714286 
0.1428571 
0.2142857

2013/7/19(金) 午前 11:25ogw*og*2返信する

  

顔アイコン

-->[1 2 3] == 1
ans =

T F F

2013/7/19(金) 午後 0:45ogw*og*2返信する

  

顔アイコン

9/19/2007 10:25 AM SciLab_for_Dummies.pdf
Page 14 of 34
However, using a single subscript deletes a single element, or sequence of elements, and reshapes the remaining
elements into a column vector. So,
s(2:2:9) = [ ]
results in
s =
! 1. !
! 9. !
! 6. !
! 3. !
! 11. !
! 4. !
! 8. !

http://mars.uta.edu/mae3183/simulation/SciLab_for_Dummies.pdf

2013/7/19(金) 午後 0:46ogw*og*2返信する

  

顔アイコン

-->s(1,3) =[]
!--error 15 
部分行列が誤って定義されています.


-->s(2:2:9) = [ ]
s =

1. 
9. 
7. 
4. 
12.

2013/7/19(金) 午後 0:47ogw*og*2返信する

  

顔アイコン

-->s(2:2:9) = [ ]
s =

1. 
7. 
12. 

-->s = [ 1 2 3 4; 5 6 7 8; 9 10 11 12 ]
s =

1. 2. 3. 4. 
5. 6. 7. 8. 
9. 10. 11. 12. 

-->s(2:2:9) = [ ]
s =

1. 
9. 
6. 
3. 
11. 
4. 
8. 
12.

2013/7/19(金) 午後 0:50ogw*og*2返信する

  

顔アイコン

--deff('[y]=f2(x)','y=3*x+x.*sin(x)')
--x=1:.5:100;
--y=f2(x);
--plot(x,y)

http://www.bprim.org/mathsoftwk/scilabvectorfield.pdf

2013/7/19(金) 午後 1:00ogw*og*2返信する

  

顔アイコン

Vehicle Simulator supports many types of vehicles, all types are made of the following parts :

http://www.hangsim.com/vsf/plane.php削除

2016/6/8(水) 午後 10:00ogw*og*3 ]返信する

  

顔アイコン

8 多項式と有理式

https://scilabinfo.files.wordpress.com/2010/02/introscilab.pdf



-->p1=poly([1 2],'x')
p1 =


2 - 3x + x 

-->p1=poly([2 -3 1],'x','coeff')
p1 =


2 - 3x + x削除

2016/10/4(火) 午前 0:02ogw*og*3 ]返信する

  

顔アイコン

saratogaの日記: Scilabの挙動不審
日記 by saratoga 2016年07月26日 23時39分
ときどき大きめのデータを処理するときに便利に使わせてもらってて、結構信頼していた。ところが今日は、どうも腑に落ちないふるまいをする。
画像データを、z(640,480,3)とか取って、あれこれして、部分行列に代入しようとするとエラーが出る。いろいろ調べてみると、例えばある画素に z(x,y,1)=0とか代入すると、なぜか行列が再定義されて、size(z)とすると、大きさx * y の2次元の行列に化けている。
文脈に依存するのか、関数の引数で渡した行列だから、代入しちゃダメなのか?
ネットで情報探るもそれらしいものもなし、ああ、やはりMatlabを買えという思し召しなのか。やれやれ、なんとかだましだまし使えんものか。

http://srad.jp/~saratoga/journal/604713/削除

2016/10/4(火) 午前 0:13ogw*og*3 ]返信する内緒

  

顔アイコン

saratogaの日記: Scilabの挙動不審
日記 by saratoga 2016年07月26日 23時39分



http://srad.jp/~saratoga/journal/604713/


ぼそ (スコア:2)
by uxi (5376) on 2016年07月27日 13時25分 (#3053828) 
MATLAB [mathworks.com] 互換って意味では Scilab [scilab.org] より Octave [gnu.org] の方が互換性高いと思ってたんだけど
Octave じゃ何か不都合があったんだろうか?

-- 
uxi削除

2016/10/4(火) 午前 0:15ogw*og*3 ]返信する

  

顔アイコン

多次元配列 - MATLAB & Simulink - MathWorks 日本
jp.mathworks.com › ... › 行列および配列 › 配列の並べ替えと変形
2 つの添字を使って、2 次元行列の要素にアクセスすることができます。1 つは行のインデックスで、もう 1 つは列のインデックスです。 ... 2 次元配列を作成し、それを拡張することで多次元配列を作成できます。2 次元配列 A を作成し、インデックス付き代入を使用して A を 3 .... 添字には、任意の数の 1 が付加できますが、この部分は 0 になります。削除

2016/10/4(火) 午前 0:29ogw*og*3 ]返信する

 

トラックバック(1)

トラックバックされた記事

  
Scilab/Scicos.:Communication systems:O2-F/B-TEST

Scilab/Scicos.:Communication systems:O2-F/B-TEST O2信号の処理を行う下準備として、擬似波形を模索。 似た波形もどきから、より近い波形生成を模索。 次に、直接外部信号を取り込み ScilabScicos()上で処理する手法に付いて模索する。 すでに一部 dev/ に関わるI/O経由での処理でうまく作動できてないケースがある。 ロボット制御とかいくつかの事例はあるが、通信修理とかで外部と内部の通信に付いてフリーで 使えるものがあ

2010/4/10(土) 午後 10:25 [車QF ]

トラックバック先の記事